class Rouge::Lexers::Verilog
def self.keywords
def self.keywords @keywords ||= Set.new %w( alias always always_comb always_ff always_latch assert assert_strobe assign assume automatic attribute before begin bind bins binsof break case casex casez clocking config constraint context continue cover covergroup coverpoint cross deassign defparam default design dist do else end endattribute endcase endclass endclocking endconfig endfunction endgenerate endgroup endinterface endmodule endpackage endprimitive endprogram endproperty endspecify endsequence endtable endtask expect export extends extern final first_match for force foreach fork forkjoin forever function generate genvar if iff ifnone ignore_bins illegal_bins import incdir include initial inside instance interface intersect join join_any join_none liblist library local localparam matches module modport new noshowcancelled null package parameter primitive priority program property protected pulsestyle_onevent pulsestyle_ondetect pure rand randc randcase randsequence release return sequence showcancelled solve specify super table task this throughout timeprecision timeunit type typedef unique use wait wait_order while wildcard with within ) end